Self-aligned blocking integration demonstration for critical sub-30-nm pitch Mx level patterning with EUV self-aligned double patterning

被引:4
|
作者
Raley, Angelique [1 ]
Lee, Joe [2 ]
Smith, Jeffrey T. [1 ]
Sun, Xinghua [1 ]
Farrell, Richard A. [1 ]
Shearer, Jeffrey [2 ]
Xu, Yongan [2 ]
Ko, Akiteru [1 ]
Metz, Andrew W. [1 ]
Biolsi, Peter [1 ]
Devilliers, Anton [1 ]
Arnold, John [2 ]
Felix, Nelson [2 ]
机构
[1] Tokyo Electron Ltd, Albany, NY 12205 USA
[2] IBM Res, Semicond Technol Res, Albany, NY USA
来源
关键词
self-aligned block; EUV; self-aligned double patterning; multicolor; line edge roughness; line width roughness; power spectral density;
D O I
10.1117/1.JMM.18.1.011002
中图分类号
TM [电工技术]; TN [电子技术、通信技术];
学科分类号
0808 ; 0809 ;
摘要
We report a sub-30-nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology targeting the back end of line metal line patterning applications for logic nodes beyond 5 nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193-nm immersion SADP targeting a 40-nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, spin on carbon, spin on glass). The multicolor integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and, more generally, edge placement error as a whole for advanced process nodes. Unbiased line edge roughness (LER)/line width roughness (LWR) analysis comparison between EUV SADP and 193-nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open, and dielectric etch compared to 193-nm immersion SADP, the final process performance is matched in terms of LWR (1.08-nm 3 sigma unbiased) and is 6% higher than 193-nm immersion SADP for average unbiased LER. Using EUV, SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges. (C) 2018 Society of Photo-Optical Instrumentation Engineers (SPIE)
引用
收藏
页数:10
相关论文
共 50 条
  • [41] Self-Aligned Fin Cut Last Patterning Scheme for Fin Arrays of 24 nm Pitch and Beyond
    Baudot, S.
    Soussou, A.
    Milenin, A. P.
    Hopf, T.
    Wang, S.
    Weckx, P.
    Vincent, B.
    Ervin, J.
    Demuynck, S.
    ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXVI, 2019, 10960
  • [42] Layout Decomposition of Self-Aligned Double Patterning for 2D Random Logic Patterning
    Ban, Yongchan
    Miloslavsky, Alex
    Lucas, Kevin
    Choi, Soo-Han
    Park, Chul-Hong
    Pan, David Z.
    DESIGN FOR MANUFACTURABILITY THROUGH DESIGN-PROCESS INTEGRATION V, 2011, 7974
  • [43] Challenges of 29nm Half-Pitch NAND FLASH STI Patterning with 193nm Dry Lithography and Self-Aligned Double Patterning
    Chiu, M. C.
    Lin, Benjamin Szu-Min
    Tsai, M. F.
    Chang, Y. S.
    Yeh, M. H.
    Ying, T. H.
    Ngai, Chris
    Jin, Jaklyn
    Yuen, Stephen
    Huang, Sem
    Chen, Yongmei
    Miao, Liyan
    Tai, Kevin
    Conley, Amiad
    Liu, Ian
    LITHOGRAPHY ASIA 2008, 2008, 7140
  • [44] LER improvement for sub-32nm pitch self-aligned quadruple patterning (SAQP) at back end of line (BEOL)
    Mohanty, Nihar
    Farrell, Richard
    Pereira, Cheryl
    Kal, Subhadeep
    Franke, Elliott
    Smith, Jefferey
    Ko, Akiteru
    DeVilliers, Anton
    Biolsi, Peter
    Sun, Lei
    Beique, Genevieve
    Hosler, Erik
    Verdujn, Erik
    Wang, Wenhui
    Labelle, Cathy
    Kim, Ryoung-han
    ADVANCED ETCH TECHNOLOGY FOR NANOPATTERNING V, 2016, 9782
  • [45] Self-Aligned Double Patterning of 1x nm FinFETs; A New Device Integration through the Challenging Geometry
    Kim, M-S
    Vandeweyer, T.
    Altamirano-Sanchez, E.
    Dekkers, H.
    Van Besien, E.
    Tsvetanova, D.
    Richard, O.
    Chew, S.
    Boccardi, G.
    Horiguchi, N.
    2013 14TH INTERNATIONAL CONFERENCE ON ULTIMATE INTEGRATION ON SILICON (ULIS), 2013, : 102 - 105
  • [46] Full Area Pattern Decomposition of Self-Aligned Double Patterning for 30nm Node NAND FLASH Process
    Chang, Yi-Shiang
    Sweis, Jason
    Lai, Jun-Cheng
    Lin, Chia-Chi
    Yu, Jonathan
    ALTERNATIVE LITHOGRAPHIC TECHNOLOGIES II, 2010, 7637
  • [47] Sidewall profile engineering for the reduction of cut exposures in self-aligned pitch division patterning
    Chen, Frederick T.
    Chen, Wei-Su
    Tsai, Ming-Jinn
    Ku, Tzu-Kun
    JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS, 2014, 13 (01):
  • [48] Mask Cost Reduction with Circuit Performance Consideration for Self-Aligned Double Patterning
    Zhang, Hongbo
    Du, Yuelin
    Wong, Martin D. F.
    Chao, Kai-Yuan
    2011 16TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC), 2011,
  • [49] A Polynomial Time Exact Algorithm for Self-Aligned Double Patterning Layout Decomposition
    Xiao, Zigang
    Du, Yuelin
    Zhang, Hongbo
    Wong, Martin D. F.
    ISPD 12: PROCEEDINGS OF THE 2012 INTERNATIONAL SYMPOSIUM ON PHYSICAL DESIGN, 2012, : 17 - 24
  • [50] PARR: Pin Access Planning and Regular Routing for Self-Aligned Double Patterning
    Xu, Xiaoqing
    Yu, Bei
    Gao, Jhih-Rong
    Hsu, Che-Lun
    Pan, David Z.
    2015 52ND ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC), 2015,