Scaling Challenges for Advanced CMOS Devices

被引:78
|
作者
Jacob A.P. [1 ]
Xie R. [1 ]
Sung M.G. [1 ]
Liebmann L. [1 ]
Lee R.T.P. [1 ]
Taylor B. [1 ]
机构
[1] GLOBALFOUNDRIES, 400 Stonebreak Road ext., Malta, NY
关键词
10nm; 14nm; 22nm; 5nm; 7nm; BEOL; Bulk silicon; channel engineering; CMOS; contact engineering; contacted poly pitch or gate pitch (CPP); design technology co-optimization (DTCO); Epitaxial (epi); FDSOI; FEOL; fin; fin pitch; finFET; gate engineering; Germanium; III-V; Indium Gallium Arsenide (InGaAs); interconnect capacitance; interconnect patterning; technology node; interconnect resistance; Nanowire FET; replacement metal gate (RMG); Self-aligned contact (SAC); self-aligned double patterning (SADP); self-aligned quadruple patterning (SAQP); Silicon; Silicon Germanium (SiGe); single and double diffusion; SOI; source drain (S/D)engineering; source drain epi; Vertical FET;
D O I
10.1142/S0129156417400018
中图分类号
学科分类号
摘要
The economic health of the semiconductor industry requires substantial scaling of chip power, performance, and area with every new technology node that is ramped into manufacturing in two year intervals. With no direct physical link to any particular design dimensions, industry wide the technology node names are chosen to reflect the roughly 70% scaling of linear dimensions necessary to enable the doubling of transistor density predicted by Moore's law and typically progress as 22nm, 14nm, 10nm, 7nm, 5nm, 3nm etc. At the time of this writing, the most advanced technology node in volume manufacturing is the 14nm node with the 7nm node in advanced development and 5nm in early exploration. The technology challenges to reach thus far have not been trivial. This review addresses the past innovation in response to the device challenges and discusses in-depth the integration challenges associated with the sub-22nm non-planar finFET technologies that are either in advanced technology development or in manufacturing. It discusses the integration challenges in patterning for both the front-end-of-line and back-end-of-line elements in the CMOS transistor. In addition, this article also gives a brief review of integrating an alternate channel material into the finFET technology, as well as next generation device architectures such as nanowire and vertical FETs. Lastly, it also discusses challenges dictated by the need to interconnect the ever-increasing density of transistors. © 2017 World Scientific Publishing Company.
引用
收藏
页码:1 / 2
相关论文
共 50 条
  • [31] The Impact of Nanoscale CMOS Devices Scaling and Variations on mm-Wave CMOS Performance
    Guo, Jyh-Chyurn
    Ou, Jyun-Rong
    2024 19TH EUROPEAN MICROWAVE INTEGRATED CIRCUITS CONFERENCE, EUMIC 2024, 2024, : 379 - 382
  • [32] Contacts in Advanced CMOS: History and Emerging Challenges
    Lavoie, Christian
    Adusumilli, Praneet
    Carr, Adra V.
    Sweet, Jean S. Jordan
    Ozcan, Ahmet S.
    Levrau, Elisabeth
    Breil, Nicolas
    Alptekin, Emre
    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 7, 2017, 77 (05): : 59 - 79
  • [33] Impact of technology scaling and process variations on RF CMOS devices
    Hassan, H
    Anis, M
    Elmasry, M
    MICROELECTRONICS JOURNAL, 2006, 37 (04) : 275 - 282
  • [34] Challenges in reliability assessment of advanced CMOS technologies
    Groeseneken, Guido
    Degraeve, Robin
    Kaczer, Ben
    Roussel, Philippe
    IPFA 2007: PROCEEDINGS OF THE 14TH INTERNATIONAL SYMPOSIUM ON THE PHYSICAL & FAILURE ANALYSIS OF INTEGRATED CIRCUITS, 2007, : 1 - +
  • [35] Demonstration of Ge Nanowire CMOS Devices and Circuits for Ultimate Scaling
    Wu, Heng
    Wu, Wangran
    Si, Mengwei
    Ye, Peide D.
    IEEE TRANSACTIONS ON ELECTRON DEVICES, 2016, 63 (08) : 3049 - 3057
  • [36] Mobility Enhancement Technology for Scaling of CMOS Devices: Overview and Status
    Yi Song
    Huajie Zhou
    Qiuxia Xu
    Jun Luo
    Haizhou Yin
    Jiang Yan
    Huicai Zhong
    Journal of Electronic Materials, 2011, 40
  • [37] Scaling Trends and Challenges of Advanced Memory Technology
    Lee, Seok-Hee
    2014 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT), 2014,
  • [38] Mobility Enhancement Technology for Scaling of CMOS Devices: Overview and Status
    Song, Yi
    Zhou, Huajie
    Xu, Qiuxia
    Luo, Jun
    Yin, Haizhou
    Yan, Jiang
    Zhong, Huicai
    JOURNAL OF ELECTRONIC MATERIALS, 2011, 40 (07) : 1584 - 1612
  • [39] DOWN-SCALING LIMITATIONS IN CMOS DEVICES - IS THERE A ROLE FOR THE FERROELECTRICS
    DIMITRIJEV, S
    INTEGRATED FERROELECTRICS, 1995, 9 (1-3) : 151 - 157
  • [40] CMOS devices and technology - characteristics of mobility and threshold voltage in advanced devices
    TSMC
    不详
    Tech. Dig. Int. Electron Meet. IEDM, 2008,