Scaling Challenges for Advanced CMOS Devices

被引:78
|
作者
Jacob A.P. [1 ]
Xie R. [1 ]
Sung M.G. [1 ]
Liebmann L. [1 ]
Lee R.T.P. [1 ]
Taylor B. [1 ]
机构
[1] GLOBALFOUNDRIES, 400 Stonebreak Road ext., Malta, NY
关键词
10nm; 14nm; 22nm; 5nm; 7nm; BEOL; Bulk silicon; channel engineering; CMOS; contact engineering; contacted poly pitch or gate pitch (CPP); design technology co-optimization (DTCO); Epitaxial (epi); FDSOI; FEOL; fin; fin pitch; finFET; gate engineering; Germanium; III-V; Indium Gallium Arsenide (InGaAs); interconnect capacitance; interconnect patterning; technology node; interconnect resistance; Nanowire FET; replacement metal gate (RMG); Self-aligned contact (SAC); self-aligned double patterning (SADP); self-aligned quadruple patterning (SAQP); Silicon; Silicon Germanium (SiGe); single and double diffusion; SOI; source drain (S/D)engineering; source drain epi; Vertical FET;
D O I
10.1142/S0129156417400018
中图分类号
学科分类号
摘要
The economic health of the semiconductor industry requires substantial scaling of chip power, performance, and area with every new technology node that is ramped into manufacturing in two year intervals. With no direct physical link to any particular design dimensions, industry wide the technology node names are chosen to reflect the roughly 70% scaling of linear dimensions necessary to enable the doubling of transistor density predicted by Moore's law and typically progress as 22nm, 14nm, 10nm, 7nm, 5nm, 3nm etc. At the time of this writing, the most advanced technology node in volume manufacturing is the 14nm node with the 7nm node in advanced development and 5nm in early exploration. The technology challenges to reach thus far have not been trivial. This review addresses the past innovation in response to the device challenges and discusses in-depth the integration challenges associated with the sub-22nm non-planar finFET technologies that are either in advanced technology development or in manufacturing. It discusses the integration challenges in patterning for both the front-end-of-line and back-end-of-line elements in the CMOS transistor. In addition, this article also gives a brief review of integrating an alternate channel material into the finFET technology, as well as next generation device architectures such as nanowire and vertical FETs. Lastly, it also discusses challenges dictated by the need to interconnect the ever-increasing density of transistors. © 2017 World Scientific Publishing Company.
引用
收藏
页码:1 / 2
相关论文
共 50 条
  • [41] CMOS Scaling Beyond 32nm: Challenges and Opportunities
    Kuhn, Kelin J.
    DAC: 2009 46TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, VOLS 1 AND 2, 2009, : 310 - +
  • [42] Challenges for sub-10 nm CMOS devices
    Mogami, Tohru
    Wakabayashi, Hitoshi
    2006 INTERNATIONAL WORKSHOP ON NANO CMOS, PROCEEDINGS, 2006, : 125 - 127
  • [43] Advanced Extra Functionality CMOS-based Devices
    Cristiano, Fuccio
    Pichler, Peter
    Tavernier, Clément
    Windl, Wolfgang
    Physica Status Solidi (C) Current Topics in Solid State Physics, 2014, 11 (01): : 7 - 8
  • [44] Generation-recombination noise in advanced CMOS devices
    Simoen, E.
    Oliveira, A. V.
    Boudier, D.
    Mitard, J.
    Witters, L.
    Veloso, A.
    Agopian, P. G. D.
    Martino, J. A.
    Carin, R.
    Cretu, B.
    Langer, R.
    Collaert, N.
    Thean, A.
    Claeys, C.
    SEMICONDUCTORS, DIELECTRICS, AND METALS FOR NANOELECTRONICS 14, 2016, 75 (05): : 111 - 120
  • [45] High voltage devices integration into advanced CMOS technologies
    Bianchi, R. A.
    Monsieur, F.
    Blanchet, F.
    Raynaud, C.
    Noblanc, O.
    IEEE INTERNATIONAL ELECTRON DEVICES MEETING 2008, TECHNICAL DIGEST, 2008, : 137 - 140
  • [46] Simulation Assessment of Process Options for Advanced CMOS Devices
    Kampen, C.
    Burenkov, A.
    Lorenz, J.
    Ryssel, H.
    ULIS 2009: 10TH INTERNATIONAL CONFERENCE ON ULTIMATE INTEGRATION OF SILICON, 2009, : 273 - 276
  • [47] Low frequency noise and fluctuations in advanced CMOS devices
    Ghibaudo, G
    NOISE IN DEVICES AND CIRCUITS, 2003, 5113 : 16 - 28
  • [48] Reliability and Variability of Advanced CMOS Devices at Cryogenic Temperatures
    Grill, A.
    Bury, E.
    Michl, J.
    Tyaginov, S.
    Linten, D.
    Grasser, T.
    Parvais, B.
    Kaczer, B.
    Waltl, M.
    Radu, I
    2020 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS), 2020,
  • [49] Novel HfSiON gate dielectric for advanced CMOS devices
    Colombo, L
    Visokay, MR
    Chambers, JJ
    Rotondaro, ALP
    Shanware, A
    Bevan, MJ
    Bu, H
    Tsung, L
    RAPID THERMAL AND OTHER SHORT-TIME PROCESSING TECHNOLOGIES III, PROCEEDINGS, 2002, 2002 (11): : 199 - 205
  • [50] A Practical Baseline Process for Advanced CMOS devices Research
    Ponomarev, YV
    Loo, JJGP
    Rittersma, ZM
    Lander, RJP
    Hooker, JC
    Doornbos, G
    Surdeanu, R
    Cubaynes, FN
    Dachs, C
    Kubicek, S
    Henson, K
    Lindsay, R
    ESSDERC 2003: PROCEEDINGS OF THE 33RD EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE, 2003, : 27 - 30